Icarus Verilog(イカルス・ヴェリログ) はオープンソースVerilog シミュレータ。Verilog 1995, 2001, SystemVerilog 2005 をサポートしている。

Icarus Verilog
作者 Stephen Williams
最新版
10.2 / 2017年8月25日 (6年前) (2017-08-25)
リポジトリ ウィキデータを編集
プログラミング
言語
C++
対応OS Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, と Mac OS X
プラットフォーム クロスプラットフォーム
対応言語 英語
種別 Verilog シミュレータ
ライセンス GNU General Public License
公式サイト http://iverilog.icarus.com/
http://sourceforge.net/projects/iverilog/
テンプレートを表示

対応OSはLinux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, と Mac OS XGNU General Public Licenseでリリースされている。

プラグインサポートのある Verilog コンパイラとプリプロセッサ、それを実行するバーチャルマシンから構成されている。

歴史 編集

作者ですらいつプロジェクトが開始したか覚えていないが、CVSの記録は1998年までさかのぼる。その時点でバージョン0.2がリリースされていた。

Icarus Verilog の開発は主に Stephen Williams が行っていて、大きなパッチも外部の開発者からも受け付けている。

外部リンク 編集